blob: 77f3ec90da42ab4218d712d2f1626f4893511b90 [file] [log] [blame]
fn main() {
assert_eq!(1, 1,);
}