blob: 00823216bf6f7b910099823dbb7e44134ae06b4b [file] [log] [blame]
// run-pass
pub fn main() {
assert_eq!([1, 2, 3][..], vec![1, 2, 3][..]);
}