blob: df53ab7c110d3fec378ed75652af43920c5ffb04 [file] [log] [blame]
/**
* @license
* Copyright 2020 Google LLC
* SPDX-License-Identifier: Apache-2.0
*/
import * as aesCtrHmac from './aes_ctr_hmac';
import * as aesGcm from './aes_gcm';
import * as wrapper from './wrapper';
export * from './aead';
export * from './aes_ctr_hmac';
export {aes128GcmKeyTemplate, aes256GcmKeyTemplate, aes256GcmNoPrefixKeyTemplate} from './aes_gcm';
export function register() {
aesCtrHmac.register();
aesGcm.register();
wrapper.register();
}