blob: e1810f3173a929f0e544c1ef85dcdc2303265257 [file] [log] [blame]
/**
* @license
* Copyright 2020 Google LLC
* SPDX-License-Identifier: Apache-2.0
*/
export {Aead} from './internal/aead';