blob: dee9c305a17142b726c45c4dcad027f1417e2ac7 [file] [log] [blame]
/**
* @license
* Copyright 2020 Google LLC
* SPDX-License-Identifier: Apache-2.0
*/
export {PublicKeySign} from './internal/public_key_sign';