blob: 5156285ad61e7cd09ae11bcb15485c20861ca302 [file] [log] [blame]
/**
* @license
* Copyright 2020 Google LLC
* SPDX-License-Identifier: Apache-2.0
*/
import {HybridKeyTemplates} from './hybrid_key_templates';
export const eciesP256HkdfHmacSha256Aes128CtrHmacSha256KeyTemplate =
HybridKeyTemplates.eciesP256HkdfHmacSha256Aes128CtrHmacSha256;