blob: f6220e11d675ef50286dbc06d07ee1d366a9e5cc [file] [log] [blame]
%module xxx
int foo(int x = 42 || 3);