blob: e773bd093c883a946f464792c882e38bd5b43999 [file] [log] [blame]
#version 310 es
layout(local_size_x = 1, local_size_y = 1, local_size_z = 1) in;
layout(binding = 0, std430) buffer SSBO
{
vec4 data[];
} ssbos[2];
void main()
{
uint ident = gl_GlobalInvocationID.x;
ssbos[1].data[ident] = ssbos[0].data[ident];
}