blob: be7fef254db545c9071763f51a7cf4c95e37c27d [file] [log] [blame]
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
<html xmlns="http://www.w3.org/1999/xhtml">
<head>
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
<meta http-equiv="X-UA-Compatible" content="IE=9"/>
<meta name="generator" content="Doxygen 1.8.4"/>
<title>SDL Visual Test: C:/Users/DELL/Work/sdlvisualtest/visualtest/include/SDL_visualtest_variator_common.h Source File</title>
<link href="tabs.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="jquery.js"></script>
<script type="text/javascript" src="dynsections.js"></script>
<link href="search/search.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="search/search.js"></script>
<script type="text/javascript">
$(document).ready(function() { searchBox.OnSelectItem(0); });
</script>
<link href="doxygen.css" rel="stylesheet" type="text/css" />
</head>
<body>
<div id="top"><!-- do not remove this div, it is closed by doxygen! -->
<div id="titlearea">
<table cellspacing="0" cellpadding="0">
<tbody>
<tr style="height: 56px;">
<td style="padding-left: 0.5em;">
<div id="projectname">SDL Visual Test
</div>
</td>
</tr>
</tbody>
</table>
</div>
<!-- end header part -->
<!-- Generated by Doxygen 1.8.4 -->
<script type="text/javascript">
var searchBox = new SearchBox("searchBox", "search",false,'Search');
</script>
<div id="navrow1" class="tabs">
<ul class="tablist">
<li><a href="index.html"><span>Main&#160;Page</span></a></li>
<li><a href="annotated.html"><span>Data&#160;Structures</span></a></li>
<li class="current"><a href="files.html"><span>Files</span></a></li>
<li>
<div id="MSearchBox" class="MSearchBoxInactive">
<span class="left">
<img id="MSearchSelect" src="search/mag_sel.png"
onmouseover="return searchBox.OnSearchSelectShow()"
onmouseout="return searchBox.OnSearchSelectHide()"
alt=""/>
<input type="text" id="MSearchField" value="Search" accesskey="S"
onfocus="searchBox.OnSearchFieldFocus(true)"
onblur="searchBox.OnSearchFieldFocus(false)"
onkeyup="searchBox.OnSearchFieldChange(event)"/>
</span><span class="right">
<a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
</span>
</div>
</li>
</ul>
</div>
<div id="navrow2" class="tabs2">
<ul class="tablist">
<li><a href="files.html"><span>File&#160;List</span></a></li>
<li><a href="globals.html"><span>Globals</span></a></li>
</ul>
</div>
<!-- window showing the filter options -->
<div id="MSearchSelectWindow"
onmouseover="return searchBox.OnSearchSelectShow()"
onmouseout="return searchBox.OnSearchSelectHide()"
onkeydown="return searchBox.OnSearchSelectKey(event)">
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&#160;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&#160;</span>Data Structures</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&#160;</span>Files</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&#160;</span>Functions</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(4)"><span class="SelectionMark">&#160;</span>Variables</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(5)"><span class="SelectionMark">&#160;</span>Typedefs</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(6)"><span class="SelectionMark">&#160;</span>Enumerations</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(7)"><span class="SelectionMark">&#160;</span>Enumerator</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(8)"><span class="SelectionMark">&#160;</span>Macros</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(9)"><span class="SelectionMark">&#160;</span>Pages</a></div>
<!-- iframe showing the search results (closed by default) -->
<div id="MSearchResultsWindow">
<iframe src="javascript:void(0)" frameborder="0"
name="MSearchResults" id="MSearchResults">
</iframe>
</div>
<div id="nav-path" class="navpath">
<ul>
<li class="navelem"><a class="el" href="dir_d44c64559bbebec7f509842c48db8b23.html">include</a></li> </ul>
</div>
</div><!-- top -->
<div class="header">
<div class="headertitle">
<div class="title">SDL_visualtest_variator_common.h</div> </div>
</div><!--header-->
<div class="contents">
<a href="_s_d_l__visualtest__variator__common_8h.html">Go to the documentation of this file.</a><div class="fragment"><div class="line"><a name="l00001"></a><span class="lineno"> 1</span>&#160;<span class="comment">/* See COPYING.txt for the full license governing this code. */</span></div>
<div class="line"><a name="l00008"></a><span class="lineno"> 8</span>&#160;<span class="preprocessor">#include &lt;SDL_types.h&gt;</span></div>
<div class="line"><a name="l00009"></a><span class="lineno"> 9</span>&#160;<span class="preprocessor">#include &quot;<a class="code" href="_s_d_l__visualtest__sut__configparser_8h.html">SDL_visualtest_sut_configparser.h</a>&quot;</span></div>
<div class="line"><a name="l00010"></a><span class="lineno"> 10</span>&#160;</div>
<div class="line"><a name="l00011"></a><span class="lineno"> 11</span>&#160;<span class="preprocessor">#ifndef _SDL_visualtest_variator_common_h</span></div>
<div class="line"><a name="l00012"></a><span class="lineno"> 12</span>&#160;<span class="preprocessor"></span><span class="preprocessor">#define _SDL_visualtest_variator_common_h</span></div>
<div class="line"><a name="l00013"></a><span class="lineno"> 13</span>&#160;<span class="preprocessor"></span></div>
<div class="line"><a name="l00015"></a><span class="lineno"><a class="code" href="_s_d_l__visualtest__variator__common_8h.html#afcdce86a10fbcdc9f3e47c47b70e3ea3"> 15</a></span>&#160;<span class="preprocessor">#define SDL_SUT_INTEGER_OPTION_TEST_STEPS 3</span></div>
<div class="line"><a name="l00016"></a><span class="lineno"> 16</span>&#160;<span class="preprocessor"></span></div>
<div class="line"><a name="l00017"></a><span class="lineno"> 17</span>&#160;<span class="comment">/* Set up for C function definitions, even when using C++ */</span></div>
<div class="line"><a name="l00018"></a><span class="lineno"> 18</span>&#160;<span class="preprocessor">#ifdef __cplusplus</span></div>
<div class="line"><a name="l00019"></a><span class="lineno"> 19</span>&#160;<span class="preprocessor"></span><span class="keyword">extern</span> <span class="stringliteral">&quot;C&quot;</span> {</div>
<div class="line"><a name="l00020"></a><span class="lineno"> 20</span>&#160;<span class="preprocessor">#endif</span></div>
<div class="line"><a name="l00021"></a><span class="lineno"> 21</span>&#160;<span class="preprocessor"></span></div>
<div class="line"><a name="l00023"></a><span class="lineno"><a class="code" href="_s_d_l__visualtest__variator__common_8h.html#a04bfc880abe6940d69a63c06a33acdbd"> 23</a></span>&#160;<span class="keyword">typedef</span> <span class="keyword">enum</span> <a class="code" href="_s_d_l__visualtest__variator__common_8h.html#a04bfc880abe6940d69a63c06a33acdbd">SDLVisualTest_VariatorType</a></div>
<div class="line"><a name="l00024"></a><span class="lineno"> 24</span>&#160;{</div>
<div class="line"><a name="l00025"></a><span class="lineno"> 25</span>&#160; SDL_VARIATOR_NONE = 0,</div>
<div class="line"><a name="l00026"></a><span class="lineno"> 26</span>&#160; SDL_VARIATOR_EXHAUSTIVE,</div>
<div class="line"><a name="l00027"></a><span class="lineno"> 27</span>&#160; SDL_VARIATOR_RANDOM</div>
<div class="line"><a name="l00028"></a><span class="lineno"> 28</span>&#160;} <a class="code" href="_s_d_l__visualtest__variator__common_8h.html#a04bfc880abe6940d69a63c06a33acdbd">SDLVisualTest_VariatorType</a>;</div>
<div class="line"><a name="l00029"></a><span class="lineno"> 29</span>&#160;</div>
<div class="line"><a name="l00033"></a><span class="lineno"><a class="code" href="union_s_d_l_visual_test___s_u_t_option_value.html"> 33</a></span>&#160;<span class="keyword">typedef</span> <span class="keyword">union </span><a class="code" href="union_s_d_l_visual_test___s_u_t_option_value.html">SDLVisualTest_SUTOptionValue</a></div>
<div class="line"><a name="l00034"></a><span class="lineno"> 34</span>&#160;{</div>
<div class="line"><a name="l00036"></a><span class="lineno"><a class="code" href="union_s_d_l_visual_test___s_u_t_option_value.html#ace8ec6519c056e35443a6c401f3d0941"> 36</a></span>&#160; SDL_bool <a class="code" href="union_s_d_l_visual_test___s_u_t_option_value.html#ace8ec6519c056e35443a6c401f3d0941">bool_value</a>;</div>
<div class="line"><a name="l00039"></a><span class="lineno"> 39</span>&#160; <span class="keyword">struct </span>{</div>
<div class="line"><a name="l00040"></a><span class="lineno"> 40</span>&#160; <span class="keywordtype">int</span> value;</div>
<div class="line"><a name="l00041"></a><span class="lineno"> 41</span>&#160; SDL_bool on;</div>
<div class="line"><a name="l00042"></a><span class="lineno"> 42</span>&#160; } <a class="code" href="union_s_d_l_visual_test___s_u_t_option_value.html#a4291d9ad3cfb3fe1645ea2732e11d68a">integer</a>;</div>
<div class="line"><a name="l00046"></a><span class="lineno"> 46</span>&#160; <span class="keyword">struct </span>{</div>
<div class="line"><a name="l00047"></a><span class="lineno"> 47</span>&#160; <span class="keywordtype">int</span> index;</div>
<div class="line"><a name="l00048"></a><span class="lineno"> 48</span>&#160; SDL_bool on;</div>
<div class="line"><a name="l00049"></a><span class="lineno"> 49</span>&#160; } <a class="code" href="union_s_d_l_visual_test___s_u_t_option_value.html#ad40e26afd4b8532327b61897d5b009e3">enumerated</a>;</div>
<div class="line"><a name="l00052"></a><span class="lineno"> 52</span>&#160; <span class="keyword">struct </span>{</div>
<div class="line"><a name="l00053"></a><span class="lineno"> 53</span>&#160; <span class="keywordtype">char</span>* value;</div>
<div class="line"><a name="l00054"></a><span class="lineno"> 54</span>&#160; SDL_bool on;</div>
<div class="line"><a name="l00055"></a><span class="lineno"> 55</span>&#160; } <a class="code" href="union_s_d_l_visual_test___s_u_t_option_value.html#a2bf4b969ff9633c937e4d15118d1edc6">string</a>;</div>
<div class="line"><a name="l00056"></a><span class="lineno"> 56</span>&#160;} <a class="code" href="_s_d_l__visualtest__variator__common_8h.html#aa4e5fb752f4cd087101ea3e1f6124dfb">SDLVisualTest_SUTOptionValue</a>;</div>
<div class="line"><a name="l00057"></a><span class="lineno"> 57</span>&#160;</div>
<div class="line"><a name="l00063"></a><span class="lineno"><a class="code" href="struct_s_d_l_visual_test___variation.html"> 63</a></span>&#160;<span class="keyword">typedef</span> <span class="keyword">struct </span><a class="code" href="struct_s_d_l_visual_test___variation.html">SDLVisualTest_Variation</a></div>
<div class="line"><a name="l00064"></a><span class="lineno"> 64</span>&#160;{</div>
<div class="line"><a name="l00066"></a><span class="lineno"><a class="code" href="struct_s_d_l_visual_test___variation.html#a1eab2e90f0195b4f4632eb19523aeadf"> 66</a></span>&#160; <a class="code" href="union_s_d_l_visual_test___s_u_t_option_value.html">SDLVisualTest_SUTOptionValue</a>* <a class="code" href="struct_s_d_l_visual_test___variation.html#a1eab2e90f0195b4f4632eb19523aeadf">vars</a>;</div>
<div class="line"><a name="l00068"></a><span class="lineno"><a class="code" href="struct_s_d_l_visual_test___variation.html#a2daded0b80f9ab7ed3703cc2686e5a92"> 68</a></span>&#160; <span class="keywordtype">int</span> <a class="code" href="struct_s_d_l_visual_test___variation.html#a2daded0b80f9ab7ed3703cc2686e5a92">num_vars</a>;</div>
<div class="line"><a name="l00069"></a><span class="lineno"> 69</span>&#160;} <a class="code" href="_s_d_l__visualtest__variator__common_8h.html#a6b447c1467862ecd623cc8f37489faeb">SDLVisualTest_Variation</a>;</div>
<div class="line"><a name="l00070"></a><span class="lineno"> 70</span>&#160;</div>
<div class="line"><a name="l00085"></a><span class="lineno"> 85</span>&#160;<span class="keywordtype">int</span> <a class="code" href="_s_d_l__visualtest__variator__common_8h.html#aafcecc06c8feb24b6f6a509bfa9db681">SDLVisualTest_NextValue</a>(<a class="code" href="union_s_d_l_visual_test___s_u_t_option_value.html">SDLVisualTest_SUTOptionValue</a>* var,</div>
<div class="line"><a name="l00086"></a><span class="lineno"> 86</span>&#160; <a class="code" href="struct_s_d_l_visual_test___s_u_t_option.html">SDLVisualTest_SUTOption</a>* opt);</div>
<div class="line"><a name="l00087"></a><span class="lineno"> 87</span>&#160;</div>
<div class="line"><a name="l00098"></a><span class="lineno"> 98</span>&#160;<span class="keywordtype">int</span> <a class="code" href="_s_d_l__visualtest__variator__common_8h.html#ad981c2efab849e76dc878ef8da9d6017">SDLVisualTest_MakeStrFromVariation</a>(<a class="code" href="struct_s_d_l_visual_test___variation.html">SDLVisualTest_Variation</a>* variation,</div>
<div class="line"><a name="l00099"></a><span class="lineno"> 99</span>&#160; <a class="code" href="struct_s_d_l_visual_test___s_u_t_config.html">SDLVisualTest_SUTConfig</a>* config,</div>
<div class="line"><a name="l00100"></a><span class="lineno"> 100</span>&#160; <span class="keywordtype">char</span>* buffer, <span class="keywordtype">int</span> size);</div>
<div class="line"><a name="l00101"></a><span class="lineno"> 101</span>&#160;</div>
<div class="line"><a name="l00112"></a><span class="lineno"> 112</span>&#160;<span class="keywordtype">int</span> <a class="code" href="_s_d_l__visualtest__variator__common_8h.html#ac87934906c51364778dc910ebca47b6c">SDLVisualTest_InitVariation</a>(<a class="code" href="struct_s_d_l_visual_test___variation.html">SDLVisualTest_Variation</a>* variation,</div>
<div class="line"><a name="l00113"></a><span class="lineno"> 113</span>&#160; <a class="code" href="struct_s_d_l_visual_test___s_u_t_config.html">SDLVisualTest_SUTConfig</a>* config);</div>
<div class="line"><a name="l00114"></a><span class="lineno"> 114</span>&#160;</div>
<div class="line"><a name="l00115"></a><span class="lineno"> 115</span>&#160;<span class="comment">/* Ends C function definitions when using C++ */</span></div>
<div class="line"><a name="l00116"></a><span class="lineno"> 116</span>&#160;<span class="preprocessor">#ifdef __cplusplus</span></div>
<div class="line"><a name="l00117"></a><span class="lineno"> 117</span>&#160;<span class="preprocessor"></span>}</div>
<div class="line"><a name="l00118"></a><span class="lineno"> 118</span>&#160;<span class="preprocessor">#endif</span></div>
<div class="line"><a name="l00119"></a><span class="lineno"> 119</span>&#160;<span class="preprocessor"></span></div>
<div class="line"><a name="l00120"></a><span class="lineno"> 120</span>&#160;<span class="preprocessor">#endif </span><span class="comment">/* _SDL_visualtest_variator_common_h */</span><span class="preprocessor"></span></div>
</div><!-- fragment --></div><!-- contents -->
<!-- start footer part -->
<hr class="footer"/><address class="footer"><small>
Generated on Thu Sep 26 2013 00:18:23 for SDL Visual Test by &#160;<a href="http://www.doxygen.org/index.html">
<img class="footer" src="doxygen.png" alt="doxygen"/>
</a> 1.8.4
</small></address>
</body>
</html>