blob: 19d2d6ade3ec5e4ba8bd3af17495b3e8c2c80beb [file] [log] [blame]
typedef enum
{
_URC_NO_REASON = 0,
_URC_FOREIGN_EXCEPTION_CAUGHT = 1,
_URC_FATAL_PHASE2_ERROR = 2,
_URC_FATAL_PHASE1_ERROR = 3,
_URC_NORMAL_STOP = 4,
_URC_END_OF_STACK = 5,
_URC_HANDLER_FOUND = 6,
_URC_INSTALL_CONTEXT = 7,
_URC_CONTINUE_UNWIND = 8
} _Unwind_Reason_Code;
int foo( _Unwind_Reason_Code X) {
return X;
}
int main() {
foo(_URC_END_OF_STACK);
return 0;
}