blob: ea46210227b915a8ada0d483aac45afb4636a08f [file] [log] [blame]
# Copyright 2023-2023 LunarG, Inc.
#
# SPDX-License-Identifier: Apache-2.0
import("//build_overrides/vulkan_utility_libraries.gni")
config("vulkan_utility_libraries_config") {
include_dirs = [ "include" ]
}
static_library("vulkan_layer_settings") {
public_deps = [ "$vulkan_headers_dir:vulkan_headers" ]
public_configs = [ ":vulkan_utility_libraries_config" ]
sources = [
"include/vulkan/layer/vk_layer_settings.h",
"include/vulkan/layer/vk_layer_settings.hpp",
"include/vulkan/utility/vk_dispatch_table.h",
"include/vulkan/utility/vk_format_utils.h",
"include/vulkan/utility/vk_struct_helper.hpp",
"include/vulkan/vk_enum_string_helper.h",
"scripts/gn/stub.cpp",
"src/layer/layer_settings_manager.cpp",
"src/layer/layer_settings_manager.hpp",
"src/layer/layer_settings_util.cpp",
"src/layer/layer_settings_util.hpp",
"src/layer/vk_layer_settings.cpp",
"src/layer/vk_layer_settings_helper.cpp",
]
}