blob: 04f86014f2cabef57c8ed935c64cf7c1bb6042cd [file] [log] [blame]
/* -----------------------------------------------------------------------------
* stl.i
* ----------------------------------------------------------------------------- */
%include <std_common.i>
%include <std_string.i>
%include <std_vector.i>
%include <std_map.i>
%include <std_pair.i>