blob: 6bf71afca0f2d709bc28619bb07074957e6919f7 [file] [log] [blame]
// test of std::queue
%module li_std_queue
%include std_queue.i
%template( IntQueue ) std::queue< int >;