blob: 789f61eda1e43ac081e6ab9590cf92f0dc67d0d4 [file] [log] [blame]
MEMORY
{
rom (rx) : ORIGIN = 0x100, LENGTH = 0x100
ram (rwx) : ORIGIN = 0x200, LENGTH = 0x100
}
SECTIONS
{
.text : {*(.text .text.*)} >rom
.data : {data_load = LOADADDR (.data);
data_start = ADDR (.data);
*(.data .data.*)} >ram AT>rom
/DISCARD/ : { *(.*) }
}