blob: 3585387c12200d60b25ef83fcd61168ecdd76934 [file] [log] [blame]
#as: -mpower9
#objdump: -dr -Mpower9
#name: POWER9 tests
.*
Disassembly of section \.text:
0+00 <power9>:
.*: (7d a3 04 74|74 04 a3 7d) cnttzd r3,r13
.*: (7d c4 04 75|75 04 c4 7d) cnttzd\. r4,r14
.*: (7d e5 04 34|34 04 e5 7d) cnttzw r5,r15
.*: (7e 06 04 35|35 04 06 7e) cnttzw\. r6,r16
.*: (7d 54 ae 12|12 ae 54 7d) modsd r10,r20,r21
.*: (7d 75 b6 16|16 b6 75 7d) modsw r11,r21,r22
.*: (7d 96 ba 12|12 ba 96 7d) modud r12,r22,r23
.*: (7d b7 c2 16|16 c2 b7 7d) moduw r13,r23,r24
.*: (10 67 25 81|81 25 67 10) bcdcfn\. v3,v4,0
.*: (10 67 27 81|81 27 67 10) bcdcfn\. v3,v4,1
.*: (10 82 2d 81|81 2d 82 10) bcdcfsq\. v4,v5,0
.*: (10 82 2f 81|81 2f 82 10) bcdcfsq\. v4,v5,1
.*: (10 a6 35 81|81 35 a6 10) bcdcfz\. v5,v6,0
.*: (10 a6 37 81|81 37 a6 10) bcdcfz\. v5,v6,1
.*: (10 c7 43 41|41 43 c7 10) bcdcpsgn\. v6,v7,v8
.*: (10 e5 45 81|81 45 e5 10) bcdctn\. v7,v8
.*: (11 00 4d 81|81 4d 00 11) bcdctsq\. v8,v9
.*: (11 24 55 81|81 55 24 11) bcdctz\. v9,v10,0
.*: (11 24 57 81|81 57 24 11) bcdctz\. v9,v10,1
.*: (11 5f 5d 81|81 5d 5f 11) bcdsetsgn\. v10,v11,0
.*: (11 5f 5f 81|81 5f 5f 11) bcdsetsgn\. v10,v11,1
.*: (11 6c 6d c1|c1 6d 6c 11) bcdsr\. v11,v12,v13,0
.*: (11 6c 6f c1|c1 6f 6c 11) bcdsr\. v11,v12,v13,1
.*: (11 8d 74 c1|c1 74 8d 11) bcds\. v12,v13,v14,0
.*: (11 8d 76 c1|c1 76 8d 11) bcds\. v12,v13,v14,1
.*: (11 ae 7d 01|01 7d ae 11) bcdtrunc\. v13,v14,v15,0
.*: (11 ae 7f 01|01 7f ae 11) bcdtrunc\. v13,v14,v15,1
.*: (11 cf 84 81|81 84 cf 11) bcdus\. v14,v15,v16
.*: (11 f0 8d 41|41 8d f0 11) bcdutrunc\. v15,v16,v17
.*: (7e 80 aa 5a|5a aa 80 7e) lxvll vs20,0,r21
.*: (7e 8a aa 5a|5a aa 8a 7e) lxvll vs20,r10,r21
.*: (7e a0 5b 5a|5a 5b a0 7e) stxvll vs21,0,r11
.*: (7e aa 5b 5a|5a 5b aa 7e) stxvll vs21,r10,r11
.*: (12 d7 00 01|01 00 d7 12) vmul10cuq v22,v23
.*: (12 f8 c8 41|41 c8 f8 12) vmul10ecuq v23,v24,v25
.*: (13 19 d2 41|41 d2 19 13) vmul10euq v24,v25,v26
.*: (13 3a 02 01|01 02 3a 13) vmul10uq v25,v26
.*: (fd 4b 60 08|08 60 4b fd) xsaddqp v10,v11,v12
.*: (fd 6c 60 09|09 60 6c fd) xsaddqpo v11,v12,v12
.*: (fe 80 f0 0a|0a f0 80 fe) xsrqpi 0,v20,v30,0
.*: (fe 81 f0 0a|0a f0 81 fe) xsrqpi 1,v20,v30,0
.*: (fe 80 f6 0a|0a f6 80 fe) xsrqpi 0,v20,v30,3
.*: (fe 81 f6 0a|0a f6 81 fe) xsrqpi 1,v20,v30,3
.*: (fe a0 f8 0b|0b f8 a0 fe) xsrqpix 0,v21,v31,0
.*: (fe a1 f8 0b|0b f8 a1 fe) xsrqpix 1,v21,v31,0
.*: (fe a0 fe 0b|0b fe a0 fe) xsrqpix 0,v21,v31,3
.*: (fe a1 fe 0b|0b fe a1 fe) xsrqpix 1,v21,v31,3
.*: (fd 8d 70 48|48 70 8d fd) xsmulqp v12,v13,v14
.*: (fd ae 78 49|49 78 ae fd) xsmulqpo v13,v14,v15
.*: (fe c0 b8 4a|4a b8 c0 fe) xsrqpxp 0,v22,v23,0
.*: (fe c1 b8 4a|4a b8 c1 fe) xsrqpxp 1,v22,v23,0
.*: (fe c0 be 4a|4a be c0 fe) xsrqpxp 0,v22,v23,3
.*: (fe c1 be 4a|4a be c1 fe) xsrqpxp 1,v22,v23,3
.*: (fd cf 80 c8|c8 80 cf fd) xscpsgnqp v14,v15,v16
.*: (fc 0f 81 08|08 81 0f fc) xscmpoqp cr0,v15,v16
.*: (ff 8f 81 08|08 81 8f ff) xscmpoqp cr7,v15,v16
.*: (fc 10 89 48|48 89 10 fc) xscmpexpqp cr0,v16,v17
.*: (ff 90 89 48|48 89 90 ff) xscmpexpqp cr7,v16,v17
.*: (fe 32 9b 08|08 9b 32 fe) xsmaddqp v17,v18,v19
.*: (fe 53 a3 09|09 a3 53 fe) xsmaddqpo v18,v19,v20
.*: (fe 74 ab 48|48 ab 74 fe) xsmsubqp v19,v20,v21
.*: (fe 95 b3 49|49 b3 95 fe) xsmsubqpo v20,v21,v22
.*: (fe b6 bb 88|88 bb b6 fe) xsnmaddqp v21,v22,v23
.*: (fe d7 c3 89|89 c3 d7 fe) xsnmaddqpo v22,v23,v24
.*: (fe f8 cb c8|c8 cb f8 fe) xsnmsubqp v23,v24,v25
.*: (ff 19 d3 c9|c9 d3 19 ff) xsnmsubqpo v24,v25,v26
.*: (ff 3a dc 08|08 dc 3a ff) xssubqp v25,v26,v27
.*: (ff 5b e4 09|09 e4 5b ff) xssubqpo v26,v27,v28
.*: (ff 7c ec 48|48 ec 7c ff) xsdivqp v27,v28,v29
.*: (ff 9d f4 49|49 f4 9d ff) xsdivqpo v28,v29,v30
.*: (fc 1d f5 08|08 f5 1d fc) xscmpuqp cr0,v29,v30
.*: (ff 9d f5 08|08 f5 9d ff) xscmpuqp cr7,v29,v30
.*: (fc 00 f5 88|88 f5 00 fc) xststdcqp cr0,v30,0
.*: (ff 80 f5 88|88 f5 80 ff) xststdcqp cr7,v30,0
.*: (fc 7f fd 88|88 fd 7f fc) xststdcqp cr0,v31,127
.*: (ff ff fd 88|88 fd ff ff) xststdcqp cr7,v31,127
.*: (fd 40 5e 48|48 5e 40 fd) xsabsqp v10,v11
.*: (fd 62 66 48|48 66 62 fd) xsxexpqp v11,v12
.*: (fd 88 6e 48|48 6e 88 fd) xsnabsqp v12,v13
.*: (fd b0 76 48|48 76 b0 fd) xsnegqp v13,v14
.*: (fd d2 7e 48|48 7e d2 fd) xsxsigqp v14,v15
.*: (fd fb 86 48|48 86 fb fd) xssqrtqp v15,v16
.*: (fe 1b 8e 49|49 8e 1b fe) xssqrtqpo v16,v17
.*: (fe 21 96 88|88 96 21 fe) xscvqpuwz v17,v18
.*: (fe 42 9e 88|88 9e 42 fe) xscvudqp v18,v19
.*: (fe 69 a6 88|88 a6 69 fe) xscvqpswz v19,v20
.*: (fe 8a ae 88|88 ae 8a fe) xscvsdqp v20,v21
.*: (fe b1 b6 88|88 b6 b1 fe) xscvqpudz v21,v22
.*: (fe d4 be 88|88 be d4 fe) xscvqpdp v22,v23
.*: (fe f4 c6 89|89 c6 f4 fe) xscvqpdpo v23,v24
.*: (ff 16 ce 88|88 ce 16 ff) xscvdpqp v24,v25
.*: (ff 39 d6 88|88 d6 39 ff) xscvqpsdz v25,v26
.*: (ff 5b e6 c8|c8 e6 5b ff) xsiexpqp v26,v27,v28
.*: (10 85 31 fb|fb 31 85 10) vpermr v4,v5,v6,v7
.*: (10 a0 32 0d|0d 32 a0 10) vextractub v5,v6,0
.*: (10 af 32 0d|0d 32 af 10) vextractub v5,v6,15
.*: (10 c0 3a 4d|4d 3a c0 10) vextractuh v6,v7,0
.*: (10 cf 3a 4d|4d 3a cf 10) vextractuh v6,v7,15
.*: (10 e0 42 8d|8d 42 e0 10) vextractuw v7,v8,0
.*: (10 ef 42 8d|8d 42 ef 10) vextractuw v7,v8,15
.*: (11 00 4a cd|cd 4a 00 11) vextractd v8,v9,0
.*: (11 0f 4a cd|cd 4a 0f 11) vextractd v8,v9,15
.*: (11 20 53 0d|0d 53 20 11) vinsertb v9,v10,0
.*: (11 2f 53 0d|0d 53 2f 11) vinsertb v9,v10,15
.*: (11 40 5b 4d|4d 5b 40 11) vinserth v10,v11,0
.*: (11 4f 5b 4d|4d 5b 4f 11) vinserth v10,v11,15
.*: (11 60 63 8d|8d 63 60 11) vinsertw v11,v12,0
.*: (11 6f 63 8d|8d 63 6f 11) vinsertw v11,v12,15
.*: (11 80 6b cd|cd 6b 80 11) vinsertd v12,v13,0
.*: (11 8f 6b cd|cd 6b 8f 11) vinsertd v12,v13,15
.*: (7d b4 02 67|67 02 b4 7d) mfvsrld r20,vs45
.*: (7d d5 03 27|27 03 d5 7d) mtvsrws vs46,r21
.*: (7d e0 bb 67|67 bb e0 7d) mtvsrdd vs47,0,r23
.*: (7d f6 bb 67|67 bb f6 7d) mtvsrdd vs47,r22,r23
.*: (7e 40 5a 19|19 5a 40 7e) lxvx vs50,0,r11
.*: (7c 0a 5a 18|18 5a 0a 7c) lxvx vs0,r10,r11
.*: (7e 60 62 d9|d9 62 60 7e) lxvwsx vs51,0,r12
.*: (7c 2a 62 d8|d8 62 2a 7c) lxvwsx vs1,r10,r12
.*: (7e 80 6e 59|59 6e 80 7e) lxvh8x vs52,0,r13
.*: (7c 4a 6e 58|58 6e 4a 7c) lxvh8x vs2,r10,r13
.*: (7e a0 76 d9|d9 76 a0 7e) lxvb16x vs53,0,r14
.*: (7c 6a 76 d8|d8 76 6a 7c) lxvb16x vs3,r10,r14
.*: (7e c0 7b 19|19 7b c0 7e) stxvx vs54,0,r15
.*: (7c 94 7b 18|18 7b 94 7c) stxvx vs4,r20,r15
.*: (7e e0 87 59|59 87 e0 7e) stxvh8x vs55,0,r16
.*: (7c b4 87 58|58 87 b4 7c) stxvh8x vs5,r20,r16
.*: (7f 00 8f d9|d9 8f 00 7f) stxvb16x vs56,0,r17
.*: (7c d4 8f d8|d8 8f d4 7c) stxvb16x vs6,r20,r17
.*: (f0 80 2a 94|94 2a 80 f0) xxextractuw vs4,vs5,0
.*: (f1 0f 92 97|97 92 0f f1) xxextractuw vs40,vs50,15
.*: (f0 80 02 d0|d0 02 80 f0) xxspltib vs4,0
.*: (f0 84 02 d0|d0 02 84 f0) xxspltib vs4,128
.*: (f1 27 fa d1|d1 fa 27 f1) xxspltib vs41,255
.*: (f1 27 fa d1|d1 fa 27 f1) xxspltib vs41,255
.*: (f0 a0 32 d4|d4 32 a0 f0) xxinsertw vs5,vs6,0
.*: (f2 4f e2 d7|d7 e2 4f f2) xxinsertw vs50,vs60,15
.*: (f0 c7 3f 6c|6c 3f c7 f0) xxbrh vs6,vs7
.*: (f3 07 cf 6f|6f cf 07 f3) xxbrh vs56,vs57
.*: (f0 ef 47 6c|6c 47 ef f0) xxbrw vs7,vs8
.*: (f3 2f d7 6f|6f d7 2f f3) xxbrw vs57,vs58
.*: (f1 17 4f 6c|6c 4f 17 f1) xxbrd vs8,vs9
.*: (f3 57 df 6f|6f df 57 f3) xxbrd vs58,vs59
.*: (f1 3f 57 6c|6c 57 3f f1) xxbrq vs9,vs10
.*: (f3 7f e7 6f|6f e7 7f f3) xxbrq vs59,vs60
.*: (e6 80 00 02|02 00 80 e6) lxsd v20,0\(0\)
.*: (e6 8a 00 02|02 00 8a e6) lxsd v20,0\(r10\)
.*: (e6 80 00 0a|0a 00 80 e6) lxsd v20,8\(0\)
.*: (e6 8a 00 0a|0a 00 8a e6) lxsd v20,8\(r10\)
.*: (e6 80 ff fa|fa ff 80 e6) lxsd v20,-8\(0\)
.*: (e6 8a ff fa|fa ff 8a e6) lxsd v20,-8\(r10\)
.*: (e6 80 7f fe|fe 7f 80 e6) lxsd v20,32764\(0\)
.*: (e6 8a 7f fe|fe 7f 8a e6) lxsd v20,32764\(r10\)
.*: (e6 80 80 02|02 80 80 e6) lxsd v20,-32768\(0\)
.*: (e6 8a 80 02|02 80 8a e6) lxsd v20,-32768\(r10\)
.*: (e7 c0 00 03|03 00 c0 e7) lxssp v30,0\(0\)
.*: (e7 cb 00 03|03 00 cb e7) lxssp v30,0\(r11\)
.*: (e7 c0 00 0b|0b 00 c0 e7) lxssp v30,8\(0\)
.*: (e7 cb 00 0b|0b 00 cb e7) lxssp v30,8\(r11\)
.*: (e7 c0 ff fb|fb ff c0 e7) lxssp v30,-8\(0\)
.*: (e7 cb ff fb|fb ff cb e7) lxssp v30,-8\(r11\)
.*: (e7 c0 7f ff|ff 7f c0 e7) lxssp v30,32764\(0\)
.*: (e7 cb 7f ff|ff 7f cb e7) lxssp v30,32764\(r11\)
.*: (e7 c0 80 03|03 80 c0 e7) lxssp v30,-32768\(0\)
.*: (e7 cb 80 03|03 80 cb e7) lxssp v30,-32768\(r11\)
.*: (f5 00 00 09|09 00 00 f5) lxv vs40,0\(0\)
.*: (f5 0c 00 09|09 00 0c f5) lxv vs40,0\(r12\)
.*: (f5 00 00 19|19 00 00 f5) lxv vs40,16\(0\)
.*: (f5 0c 00 19|19 00 0c f5) lxv vs40,16\(r12\)
.*: (f5 00 ff f9|f9 ff 00 f5) lxv vs40,-16\(0\)
.*: (f5 4c ff f1|f1 ff 4c f5) lxv vs10,-16\(r12\)
.*: (f5 40 7f f1|f1 7f 40 f5) lxv vs10,32752\(0\)
.*: (f5 4c 7f f1|f1 7f 4c f5) lxv vs10,32752\(r12\)
.*: (f5 40 80 01|01 80 40 f5) lxv vs10,-32768\(0\)
.*: (f5 4c 80 01|01 80 4c f5) lxv vs10,-32768\(r12\)
.*: (f6 a0 00 02|02 00 a0 f6) stxsd v21,0\(0\)
.*: (f6 aa 00 02|02 00 aa f6) stxsd v21,0\(r10\)
.*: (f6 a0 00 0a|0a 00 a0 f6) stxsd v21,8\(0\)
.*: (f6 aa 00 0a|0a 00 aa f6) stxsd v21,8\(r10\)
.*: (f6 a0 ff fa|fa ff a0 f6) stxsd v21,-8\(0\)
.*: (f6 aa ff fa|fa ff aa f6) stxsd v21,-8\(r10\)
.*: (f6 a0 7f fe|fe 7f a0 f6) stxsd v21,32764\(0\)
.*: (f6 aa 7f fe|fe 7f aa f6) stxsd v21,32764\(r10\)
.*: (f6 a0 80 02|02 80 a0 f6) stxsd v21,-32768\(0\)
.*: (f6 aa 80 02|02 80 aa f6) stxsd v21,-32768\(r10\)
.*: (f7 e0 00 03|03 00 e0 f7) stxssp v31,0\(0\)
.*: (f7 eb 00 03|03 00 eb f7) stxssp v31,0\(r11\)
.*: (f7 e0 00 0b|0b 00 e0 f7) stxssp v31,8\(0\)
.*: (f7 eb 00 0b|0b 00 eb f7) stxssp v31,8\(r11\)
.*: (f7 e0 ff fb|fb ff e0 f7) stxssp v31,-8\(0\)
.*: (f7 eb ff fb|fb ff eb f7) stxssp v31,-8\(r11\)
.*: (f7 e0 7f ff|ff 7f e0 f7) stxssp v31,32764\(0\)
.*: (f7 eb 7f ff|ff 7f eb f7) stxssp v31,32764\(r11\)
.*: (f7 e0 80 03|03 80 e0 f7) stxssp v31,-32768\(0\)
.*: (f7 eb 80 03|03 80 eb f7) stxssp v31,-32768\(r11\)
.*: (f5 20 00 0d|0d 00 20 f5) stxv vs41,0\(0\)
.*: (f5 2c 00 0d|0d 00 2c f5) stxv vs41,0\(r12\)
.*: (f5 20 00 1d|1d 00 20 f5) stxv vs41,16\(0\)
.*: (f5 2c 00 1d|1d 00 2c f5) stxv vs41,16\(r12\)
.*: (f5 20 ff fd|fd ff 20 f5) stxv vs41,-16\(0\)
.*: (f5 6c ff f5|f5 ff 6c f5) stxv vs11,-16\(r12\)
.*: (f5 60 7f f5|f5 7f 60 f5) stxv vs11,32752\(0\)
.*: (f5 6c 7f f5|f5 7f 6c f5) stxv vs11,32752\(r12\)
.*: (f5 60 80 05|05 80 60 f5) stxv vs11,-32768\(0\)
.*: (f5 6c 80 05|05 80 6c f5) stxv vs11,-32768\(r12\)
.*: (f2 96 c0 d0|d0 c0 96 f2) xxperm vs20,vs22,vs24
.*: (f1 0a 60 d7|d7 60 0a f1) xxperm vs40,vs42,vs44
.*: (f2 b7 c9 d0|d0 c9 b7 f2) xxpermr vs21,vs23,vs25
.*: (f1 2b 69 d7|d7 69 2b f1) xxpermr vs41,vs43,vs45
.*: (7e 8c 06 f4|f4 06 8c 7e) extswsli r12,r20,0
.*: (7e 8c 0e f4|f4 0e 8c 7e) extswsli r12,r20,1
.*: (7e 8c fe f6|f6 fe 8c 7e) extswsli r12,r20,63
.*: (7e ad 06 f5|f5 06 ad 7e) extswsli\. r13,r21,0
.*: (7e ad 0e f5|f5 0e ad 7e) extswsli\. r13,r21,1
.*: (7e ad fe f7|f7 fe ad 7e) extswsli\. r13,r21,63
.*: (11 d6 b8 85|85 b8 d6 11) vrlwmi v14,v22,v23
.*: (11 f7 c0 c5|c5 c0 f7 11) vrldmi v15,v23,v24
.*: (12 18 c9 85|85 c9 18 12) vrlwnm v16,v24,v25
.*: (12 39 d1 c5|c5 d1 39 12) vrldnm v17,v25,v26
.*: (12 5a dd cc|cc dd 5a 12) vbpermd v18,v26,v27
.*: (12 66 a6 02|02 a6 66 12) vnegw v19,v20
.*: (12 87 ae 02|02 ae 87 12) vnegd v20,v21
.*: (12 a8 b6 02|02 b6 a8 12) vprtybw v21,v22
.*: (12 c9 be 02|02 be c9 12) vprtybd v22,v23
.*: (12 ea c6 02|02 c6 ea 12) vprtybq v23,v24
.*: (13 10 ce 02|02 ce 10 13) vextsb2w v24,v25
.*: (13 31 d6 02|02 d6 31 13) vextsh2w v25,v26
.*: (13 58 de 02|02 de 58 13) vextsb2d v26,v27
.*: (13 79 e6 02|02 e6 79 13) vextsh2d v27,v28
.*: (13 9a ee 02|02 ee 9a 13) vextsw2d v28,v29
.*: (13 bc f6 02|02 f6 bc 13) vctzb v29,v30
.*: (13 dd fe 02|02 fe dd 13) vctzh v30,v31
.*: (13 fe f6 02|02 f6 fe 13) vctzw v31,v30
.*: (13 df ee 02|02 ee df 13) vctzd v30,v29
.*: (7d 40 a6 1a|1a a6 40 7d) lxsibzx vs10,0,r20
.*: (7e 4a a6 1b|1b a6 4a 7e) lxsibzx vs50,r10,r20
.*: (7d 60 ae 5a|5a ae 60 7d) lxsihzx vs11,0,r21
.*: (7e 6b ae 5b|5b ae 6b 7e) lxsihzx vs51,r11,r21
.*: (7d 80 b7 1a|1a b7 80 7d) stxsibx vs12,0,r22
.*: (7e 8c b7 1b|1b b7 8c 7e) stxsibx vs52,r12,r22
.*: (7d a0 bf 5a|5a bf a0 7d) stxsihx vs13,0,r23
.*: (7e ad bf 5b|5b bf ad 7e) stxsihx vs53,r13,r23
.*: (11 4b 63 70|70 63 4b 11) maddhd r10,r11,r12,r13
.*: (12 95 b5 f1|f1 b5 95 12) maddhdu r20,r21,r22,r23
.*: (10 43 21 73|73 21 43 10) maddld r2,r3,r4,r5
.*: (f0 0a a1 d8|d8 a1 0a f0) xscmpexpdp cr0,vs10,vs20
.*: (f3 88 91 de|de 91 88 f3) xscmpexpdp cr7,vs40,vs50
.*: (f1 2b af 2d|2d af 2b f1) xsiexpdp vs41,r11,r21
.*: (f0 7f 5d a8|a8 5d 7f f0) xststdcdp cr0,vs11,127
.*: (f3 ff 4d aa|aa 4d ff f3) xststdcdp cr7,vs41,127
.*: (f0 7f 5c a8|a8 5c 7f f0) xststdcsp cr0,vs11,127
.*: (f3 ff 4c aa|aa 4c ff f3) xststdcsp cr7,vs41,127
.*: (f1 a0 5d 6e|6e 5d a0 f1) xsxexpdp r13,vs43
.*: (f1 c1 65 6e|6e 65 c1 f1) xsxsigdp r14,vs44
.*: (f1 ae 7f c7|c7 7f ae f1) xviexpdp vs45,vs46,vs47
.*: (f1 cf 86 c7|c7 86 cf f1) xviexpsp vs46,vs47,vs48
.*: (f2 c0 bf ab|ab bf c0 f2) xvtstdcdp vs54,vs55,0
.*: (f2 df bf ef|ef bf df f2) xvtstdcdp vs54,vs55,127
.*: (f2 e0 c6 ab|ab c6 e0 f2) xvtstdcsp vs55,vs56,0
.*: (f2 ff c6 ef|ef c6 ff f2) xvtstdcsp vs55,vs56,127
.*: (f3 20 d7 6f|6f d7 20 f3) xvxexpdp vs57,vs58
.*: (f3 48 df 6f|6f df 48 f3) xvxexpsp vs58,vs59
.*: (f3 61 e7 6f|6f e7 61 f3) xvxsigdp vs59,vs60
.*: (f3 89 ef 6f|6f ef 89 f3) xvxsigsp vs60,vs61
.*: (7c 06 39 c0|c0 39 06 7c) cmpeqb cr0,r6,r7
.*: (7f 86 39 c0|c0 39 86 7f) cmpeqb cr7,r6,r7
.*: (7c 08 49 80|80 49 08 7c) cmprb cr0,r8,r9
.*: (7f 88 49 80|80 49 88 7f) cmprb cr7,r8,r9
.*: (7c 28 49 80|80 49 28 7c) cmprb cr0,1,r8,r9
.*: (7f a8 49 80|80 49 a8 7f) cmprb cr7,1,r8,r9
.*: (7d e0 01 00|00 01 e0 7d) setb r15,cr0
.*: (7d fc 01 00|00 01 fc 7d) setb r15,cr7
.*: (7f 40 52 1a|1a 52 40 7f) lxvl vs26,0,r10
.*: (7f 14 52 1b|1b 52 14 7f) lxvl vs56,r20,r10
.*: (7f 60 5b 1a|1a 5b 60 7f) stxvl vs27,0,r11
.*: (7f 35 5b 1b|1b 5b 35 7f) stxvl vs57,r21,r11
.*: (12 80 f6 02|02 f6 80 12) vclzlsbb r20,v30
.*: (12 a1 fe 02|02 fe a1 12) vctzlsbb r21,v31
.*: (11 4b 60 07|07 60 4b 11) vcmpneb v10,v11,v12
.*: (12 95 b4 07|07 b4 95 12) vcmpneb\. v20,v21,v22
.*: (11 6c 68 47|47 68 6c 11) vcmpneh v11,v12,v13
.*: (12 b6 bc 47|47 bc b6 12) vcmpneh\. v21,v22,v23
.*: (11 8d 70 87|87 70 8d 11) vcmpnew v12,v13,v14
.*: (12 d7 c4 87|87 c4 d7 12) vcmpnew\. v22,v23,v24
.*: (11 ae 79 07|07 79 ae 11) vcmpnezb v13,v14,v15
.*: (12 f8 cd 07|07 cd f8 12) vcmpnezb\. v23,v24,v25
.*: (11 cf 81 47|47 81 cf 11) vcmpnezh v14,v15,v16
.*: (13 19 d5 47|47 d5 19 13) vcmpnezh\. v24,v25,v26
.*: (11 f0 89 87|87 89 f0 11) vcmpnezw v15,v16,v17
.*: (13 3a dd 87|87 dd 3a 13) vcmpnezw\. v25,v26,v27
.*: (12 11 56 0d|0d 56 11 12) vextublx r16,r17,v10
.*: (12 32 5f 0d|0d 5f 32 12) vextubrx r17,r18,v11
.*: (12 53 66 4d|4d 66 53 12) vextuhlx r18,r19,v12
.*: (12 74 6f 4d|4d 6f 74 12) vextuhrx r19,r20,v13
.*: (12 95 76 8d|8d 76 95 12) vextuwlx r20,r21,v14
.*: (12 b6 7f 8d|8d 7f b6 12) vextuwrx r21,r22,v15
.*: (ec 00 1d 46|46 1d 00 ec) dtstsfi cr0,0,f3
.*: (ef bf 1d 46|46 1d bf ef) dtstsfi cr7,63,f3
.*: (fc 00 25 46|46 25 00 fc) dtstsfiq cr0,0,f4
.*: (ff bf 25 46|46 25 bf ff) dtstsfiq cr7,63,f4
.*: (f1 10 95 6f|6f 95 10 f1) xscvhpdp vs40,vs50
.*: (f1 31 9d 6f|6f 9d 31 f1) xscvdphp vs41,vs51
.*: (f1 58 a7 6f|6f a7 58 f1) xvcvhpsp vs42,vs52
.*: (f1 79 af 6f|6f af 79 f1) xvcvsphp vs43,vs53
.*: (4c 60 00 04|04 00 60 4c) lnia r3
.*: (4c 60 00 04|04 00 60 4c) lnia r3
.*: (4c 60 00 04|04 00 60 4c) lnia r3
.*: (4c 80 00 05|05 00 80 4c) addpcis r4,1
.*: (4c 80 00 05|05 00 80 4c) addpcis r4,1
.*: (4c bf ff c4|c4 ff bf 4c) addpcis r5,-2
.*: (4c bf ff c4|c4 ff bf 4c) addpcis r5,-2
.*: (4c df 7f c5|c5 7f df 4c) addpcis r6,32767
.*: (4c df 7f c5|c5 7f df 4c) addpcis r6,32767
.*: (4c e0 80 04|04 80 e0 4c) addpcis r7,-32768
.*: (4c e0 80 04|04 80 e0 4c) addpcis r7,-32768
.*: (7c 00 02 a4|a4 02 00 7c) slbsync
.*: (7d 40 06 a4|a4 06 40 7d) slbiag r10
.*: (7d 40 5b a4|a4 5b 40 7d) slbieg r10,r11
.*: (7c 60 27 26|26 27 60 7c) slbmfee r3,r4
.*: (7c 60 27 26|26 27 60 7c) slbmfee r3,r4
.*: (7c 61 27 26|26 27 61 7c) slbmfee r3,r4,1
.*: (7c 80 2e a6|a6 2e 80 7c) slbmfev r4,r5
.*: (7c 80 2e a6|a6 2e 80 7c) slbmfev r4,r5
.*: (7c 81 2e a6|a6 2e 81 7c) slbmfev r4,r5,1
.*: (7c 80 1a 64|64 1a 80 7c) tlbie r3,r4
.*: (7c 80 1a 64|64 1a 80 7c) tlbie r3,r4
.*: (7c 8f 1a 64|64 1a 8f 7c) tlbie r3,r4,3,1,1
.*: (7c 00 1a 24|24 1a 00 7c) tlbiel r3
.*: (7c 00 1a 24|24 1a 00 7c) tlbiel r3
.*: (7c 8f 1a 24|24 1a 8f 7c) tlbiel r3,r4,3,1,1
.*: (7c 2c 6e 0c|0c 6e 2c 7c) copy r12,r13
.*: (7c 2a 5f 0d|0d 5f 2a 7c) paste\. r10,r11
.*: (7c 00 06 8c|8c 06 00 7c) cpabort
.*: (7c 00 04 ac|ac 04 00 7c) hwsync
.*: (7c 00 04 ac|ac 04 00 7c) hwsync
.*: (7c 00 04 ac|ac 04 00 7c) hwsync
.*: (7c 20 04 ac|ac 04 20 7c) lwsync
.*: (7c 20 04 ac|ac 04 20 7c) lwsync
.*: (7c 40 04 ac|ac 04 40 7c) ptesync
.*: (7c 40 04 ac|ac 04 40 7c) ptesync
.*: (7e 80 04 cc|cc 04 80 7e) ldat r20,0,0
.*: (7e 8a e4 cc|cc e4 8a 7e) ldat r20,r10,28
.*: (7e a0 04 8c|8c 04 a0 7e) lwat r21,0,0
.*: (7e ab e4 8c|8c e4 ab 7e) lwat r21,r11,28
.*: (7e c0 05 cc|cc 05 c0 7e) stdat r22,0,0
.*: (7e cc e5 cc|cc e5 cc 7e) stdat r22,r12,28
.*: (7e e0 05 8c|8c 05 e0 7e) stwat r23,0,0
.*: (7e ed e5 8c|8c e5 ed 7e) stwat r23,r13,28
.*: (4c 00 02 64|64 02 00 4c) urfid
.*: (7c 00 f6 e4|e4 f6 00 7c) rmieg r30
.*: (7d 40 7a 6a|6a 7a 40 7d) ldmx r10,0,r15
.*: (7d 43 7a 6a|6a 7a 43 7d) ldmx r10,r3,r15
.*: (4c 00 02 e4|e4 02 00 4c) stop
.*: (7c 00 00 3c|3c 00 00 7c) wait
.*: (7c 00 00 3c|3c 00 00 7c) wait
.*: (7c 60 05 e6|e6 05 60 7c) darn r3,0
.*: (7c 61 05 e6|e6 05 61 7c) darn r3,1
.*: (7c 62 05 e6|e6 05 62 7c) darn r3,2
.*: (7c 00 04 80|80 04 00 7c) mcrxrx cr0
.*: (7f 80 04 80|80 04 80 7f) mcrxrx cr7
.*: (12 95 b7 44|44 b7 95 12) vslv v20,v21,v22
.*: (12 f8 cf 04|04 cf f8 12) vsrv v23,v24,v25
.*: (7c 00 06 ec|ec 06 00 7c) msgsync
.*: (f3 c8 90 1e|1e 90 c8 f3) xscmpeqdp vs30,vs40,vs50
.*: (f3 e9 98 5e|5e 98 e9 f3) xscmpgtdp vs31,vs41,vs51
.*: (f0 0a a0 9f|9f a0 0a f0) xscmpgedp vs32,vs42,vs52
.*: (f0 4c b4 47|47 b4 4c f0) xsmincdp vs34,vs44,vs54
.*: (f0 6d bc 07|07 bc 6d f0) xsmaxcdp vs35,vs45,vs55
.*: (f0 8e c4 c7|c7 c4 8e f0) xsminjdp vs36,vs46,vs56
.*: (f0 af cc 87|87 cc af f0) xsmaxjdp vs37,vs47,vs57
.*: (12 95 b5 e3|e3 b5 95 12) vmsumudm v20,v21,v22,v23
.*: (7d 6c 69 54|54 69 6c 7d) addex r11,r12,r13,0
.*: (7d 6c 6b 54|54 6b 6c 7d) addex r11,r12,r13,1
.*: (7d 6c 6d 54|54 6d 6c 7d) addex r11,r12,r13,2
.*: (ff 20 04 8e|8e 04 20 ff) mffs f25
.*: (ff 20 04 8f|8f 04 20 ff) mffs\. f25
.*: (ff 41 04 8e|8e 04 41 ff) mffsce f26
.*: (ff 74 a4 8e|8e a4 74 ff) mffscdrn f27,f20
.*: (ff 95 04 8e|8e 04 95 ff) mffscdrni f28,0
.*: (ff 95 3c 8e|8e 3c 95 ff) mffscdrni f28,7
.*: (ff b6 ac 8e|8e ac b6 ff) mffscrn f29,f21
.*: (ff d7 04 8e|8e 04 d7 ff) mffscrni f30,0
.*: (ff d7 1c 8e|8e 1c d7 ff) mffscrni f30,3
.*: (ff f8 04 8e|8e 04 f8 ff) mffsl f31
.*: (01 00 00 44|44 00 00 01) scv 0
.*: (e1 0f 00 44|44 00 0f e1) scv 127
.*: (a4 00 00 4c|4c 00 00 a4) rfscv
#pass