isEmpty property

view source

bool isEmpty

inherited

Implementation

@pragma("vm:prefer-inline")
bool get isEmpty => length == 0;